ASML and imec open joint HIgh NA EUV Lithography Lab

2 mins read

Imec, the nanoelectronics and digital technologies innovations hub, and ASML, the Dutch lithography supplier, have jointly opened a High NA EUV Lithography Lab in Veldhoven, in the Netherlands.

Credit: imec

The lab, to be jointly run by ASML and imec, will provide leading-edge logic and memory chip makers, as well as advanced materials and equipment suppliers access to the first prototype High NA EUV scanner and surrounding processing and metrology tools.

The opening of the High NA EUV Lab represents a milestone in preparing High NA EUV for high-volume manufacturing – anticipated to happen in the 2025–2026 timeframe.

By giving chip manufacturers access to the High NA EUV prototype scanner and surrounding tools (which include a coat and development track, metrology tools, wafer and mask handling systems), imec and ASML are working to de-risk the technology and will develop private High NA EUV use cases before the scanners will be operational in their production fabs.

Access will also be provided to the broader ecosystem of material and equipment suppliers and to imec’s High NA patterning program.

Readying the 0.55 NA EUV scanner and infrastructure followed intense preparations that started back in 2018. ASML and ZEISS have been able to develop High NA EUV scanner specific solutions related to the source, optics, lens anamorphicity, stitching, reduced depth of focus, edge placement errors and overlay accuracy.

Meanwhile, imec, in tight collaboration with its extended supplier network, has prepared the patterning ecosystem, including the development of advanced resist and underlayer materials, photomasks, metrology and inspection techniques, (anamorphic) imaging strategies, optical proximity correction (OPC), and integrated patterning and etch techniques.

The preparatory work recently resulted in first exposures, showing for the first time ever 10 nm dense lines (20 nm pitch) printed in Veldhoven on metal oxide resists (MORs) using the 0.55 NA EUV prototype scanner.

Commenting Imec’s president and CEO Luc Van den hove, said, “High NA EUV is the next milestone in optical lithography, promising the patterning of metal lines/spaces with 20 nm pitch in one single exposure and enabling next generations of DRAM chips. This will improve yield and reduce cycle time and even CO2 emissions compared to existing multi-patterning 0.33 NA EUV schemes. It will therefore be a key enabler to push Moore’s Law well into the ångström era.

“For imec and its partners, the High NA EUV Lithography Lab will act as a virtual extension of our 300 mm cleanroom in Leuven, enabling us to further improve the patterning ecosystem and push the resolution of the High NA EUV towards its ultimate limits.”

“The ASML-imec High NA EUV Lithography Lab provides an opportunity for our EUV customers, partners and suppliers to access the High NA EUV system for process development while waiting for their own system to be available at their factories,” said ASML’s President and CEO Christophe Fouquet. “This type of very early engagement with the ecosystem is unique and could significantly accelerate the learning curve on the technology and smoothen the introduction in manufacturing. We are committed to work with and support our customers in this journey with High NA EUV.”